/* Verilog netlist generated by SCUBA Diamond_3.1_Production (93) */ /* Module Version: 6.3 */ /* C:\lscc\diamond\3.1\ispfpga\bin\nt\scuba.exe -w -n pagetable -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 10 -rp 0011 -rdata_width 16 -data_width 16 -num_rows 256 -byte 8 -outdata REGISTERED -cascade -1 -resetmode SYNC -sync_reset -mem_init0 */ /* Tue May 13 16:28:12 2014 */ `timescale 1 ns / 1 ps module pagetable (WrAddress, RdAddress, Data, ByteEn, WE, RdClock, RdClockEn, Reset, WrClock, WrClockEn, Q)/* synthesis NGD_DRC_MASK=1 */; input wire [7:0] WrAddress; input wire [7:0] RdAddress; input wire [15:0] Data; input wire [1:0] ByteEn; input wire WE; input wire RdClock; input wire RdClockEn; input wire Reset; input wire WrClock; input wire WrClockEn; output wire [15:0] Q; wire scuba_vhi; wire scuba_vlo; VHI scuba_vhi_inst (.Z(scuba_vhi)); VLO scuba_vlo_inst (.Z(scuba_vlo)); defparam pagetable_0_0_0.INIT_DATA = "STATIC" ; defparam pagetable_0_0_0.ASYNC_RESET_RELEASE = "SYNC" ; defparam pagetable_0_0_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ; defparam pagetable_0_0_0.CSDECODE_R = "0b000" ; defparam pagetable_0_0_0.CSDECODE_W = "0b001" ; defparam pagetable_0_0_0.GSR = "ENABLED" ; defparam pagetable_0_0_0.RESETMODE = "SYNC" ; defparam pagetable_0_0_0.REGMODE = "OUTREG" ; defparam pagetable_0_0_0.DATA_WIDTH_R = 18 ; defparam pagetable_0_0_0.DATA_WIDTH_W = 18 ; PDPW8KC pagetable_0_0_0 (.DI17(scuba_vlo), .DI16(Data[15]), .DI15(Data[14]), .DI14(Data[13]), .DI13(Data[12]), .DI12(Data[11]), .DI11(Data[10]), .DI10(Data[9]), .DI9(Data[8]), .DI8(scuba_vlo), .DI7(Data[7]), .DI6(Data[6]), .DI5(Data[5]), .DI4(Data[4]), .DI3(Data[3]), .DI2(Data[2]), .DI1(Data[1]), .DI0(Data[0]), .ADW8(scuba_vlo), .ADW7(WrAddress[7]), .ADW6(WrAddress[6]), .ADW5(WrAddress[5]), .ADW4(WrAddress[4]), .ADW3(WrAddress[3]), .ADW2(WrAddress[2]), .ADW1(WrAddress[1]), .ADW0(WrAddress[0]), .BE1(ByteEn[1]), .BE0(ByteEn[0]), .CEW(WrClockEn), .CLKW(WrClock), .CSW2(scuba_vlo), .CSW1(scuba_vlo), .CSW0(WE), .ADR12(scuba_vlo), .ADR11(RdAddress[7]), .ADR10(RdAddress[6]), .ADR9(RdAddress[5]), .ADR8(RdAddress[4]), .ADR7(RdAddress[3]), .ADR6(RdAddress[2]), .ADR5(RdAddress[1]), .ADR4(RdAddress[0]), .ADR3(scuba_vlo), .ADR2(scuba_vlo), .ADR1(scuba_vlo), .ADR0(scuba_vlo), .CER(RdClockEn), .OCER(RdClockEn), .CLKR(RdClock), .CSR2(scuba_vlo), .CSR1(scuba_vlo), .CSR0(scuba_vlo), .RST(Reset), .DO17(), .DO16(Q[7]), .DO15(Q[6]), .DO14(Q[5]), .DO13(Q[4]), .DO12(Q[3]), .DO11(Q[2]), .DO10(Q[1]), .DO9(Q[0]), .DO8(), .DO7(Q[15]), .DO6(Q[14]), .DO5(Q[13]), .DO4(Q[12]), .DO3(Q[11]), .DO2(Q[10]), .DO1(Q[9]), .DO0(Q[8])) /* synthesis MEM_LPC_FILE="pagetable.lpc" */ /* synthesis MEM_INIT_FILE="INIT_ALL_0s" */; // exemplar begin // exemplar attribute pagetable_0_0_0 MEM_LPC_FILE pagetable.lpc // exemplar attribute pagetable_0_0_0 MEM_INIT_FILE INIT_ALL_0s // exemplar end endmodule